Index index by Group index by Distribution index by Vendor index by creation date index by Name Mirrors Help Search

python2-cocotb-1.3.1-1.el7 RPM for noarch

From EPEL 7 for x86_64 / Packages / p

Name: python2-cocotb Distribution: Fedora Project
Version: 1.3.1 Vendor: Fedora Project
Release: 1.el7 Build date: Fri Mar 27 21:09:07 2020
Group: Unspecified Build host: buildvm-22.phx2.fedoraproject.org
Size: 1317141 Source RPM: python-cocotb-1.3.1-1.el7.src.rpm
Packager: Fedora Project
Url: https://github.com/cocotb/cocotb
Summary: Coroutine Co-simulation Test Bench
cocotb is a coroutine based cosimulation library for writing VHDL
and Verilog testbenches in Python.

Provides

Requires

License

BSD

Changelog

* Fri Mar 27 2020 Ben Rosser <rosser.bjr@gmail.com> - 1.3.1-1
  - Update to latest upstream release.
* Tue Jan 21 2020 Ben Rosser <rosser.bjr@gmail.com> - 1.3.0-1
  - Update to latest upstream release.
* Tue Sep 24 2019 Ben Rosser <rosser.bjr@gmail.com> - 1.2.0-3
  - Move Recommends on iverilog/ghdl into python3 subpackage.
* Fri Jul 26 2019 Ben Rosser <rosser.bjr@gmail.com> - 1.2.0-1
  - Initial package for EPEL 7, shipping python2 version of cocotb.

Files

/usr/bin/cocotb-config
/usr/lib/python2.7/site-packages/cocotb
/usr/lib/python2.7/site-packages/cocotb-1.3.1-py2.7.egg-info
/usr/lib/python2.7/site-packages/cocotb-1.3.1-py2.7.egg-info/PKG-INFO
/usr/lib/python2.7/site-packages/cocotb-1.3.1-py2.7.egg-info/SOURCES.txt
/usr/lib/python2.7/site-packages/cocotb-1.3.1-py2.7.egg-info/dependency_links.txt
/usr/lib/python2.7/site-packages/cocotb-1.3.1-py2.7.egg-info/entry_points.txt
/usr/lib/python2.7/site-packages/cocotb-1.3.1-py2.7.egg-info/top_level.txt
/usr/lib/python2.7/site-packages/cocotb/ANSI.py
/usr/lib/python2.7/site-packages/cocotb/ANSI.pyc
/usr/lib/python2.7/site-packages/cocotb/ANSI.pyo
/usr/lib/python2.7/site-packages/cocotb/__init__.py
/usr/lib/python2.7/site-packages/cocotb/__init__.pyc
/usr/lib/python2.7/site-packages/cocotb/__init__.pyo
/usr/lib/python2.7/site-packages/cocotb/_py_compat.py
/usr/lib/python2.7/site-packages/cocotb/_py_compat.pyc
/usr/lib/python2.7/site-packages/cocotb/_py_compat.pyo
/usr/lib/python2.7/site-packages/cocotb/_version.py
/usr/lib/python2.7/site-packages/cocotb/_version.pyc
/usr/lib/python2.7/site-packages/cocotb/_version.pyo
/usr/lib/python2.7/site-packages/cocotb/binary.py
/usr/lib/python2.7/site-packages/cocotb/binary.pyc
/usr/lib/python2.7/site-packages/cocotb/binary.pyo
/usr/lib/python2.7/site-packages/cocotb/bus.py
/usr/lib/python2.7/site-packages/cocotb/bus.pyc
/usr/lib/python2.7/site-packages/cocotb/bus.pyo
/usr/lib/python2.7/site-packages/cocotb/clock.py
/usr/lib/python2.7/site-packages/cocotb/clock.pyc
/usr/lib/python2.7/site-packages/cocotb/clock.pyo
/usr/lib/python2.7/site-packages/cocotb/config.py
/usr/lib/python2.7/site-packages/cocotb/config.pyc
/usr/lib/python2.7/site-packages/cocotb/config.pyo
/usr/lib/python2.7/site-packages/cocotb/decorators.py
/usr/lib/python2.7/site-packages/cocotb/decorators.pyc
/usr/lib/python2.7/site-packages/cocotb/decorators.pyo
/usr/lib/python2.7/site-packages/cocotb/drivers
/usr/lib/python2.7/site-packages/cocotb/drivers/__init__.py
/usr/lib/python2.7/site-packages/cocotb/drivers/__init__.pyc
/usr/lib/python2.7/site-packages/cocotb/drivers/__init__.pyo
/usr/lib/python2.7/site-packages/cocotb/drivers/amba.py
/usr/lib/python2.7/site-packages/cocotb/drivers/amba.pyc
/usr/lib/python2.7/site-packages/cocotb/drivers/amba.pyo
/usr/lib/python2.7/site-packages/cocotb/drivers/avalon.py
/usr/lib/python2.7/site-packages/cocotb/drivers/avalon.pyc
/usr/lib/python2.7/site-packages/cocotb/drivers/avalon.pyo
/usr/lib/python2.7/site-packages/cocotb/drivers/opb.py
/usr/lib/python2.7/site-packages/cocotb/drivers/opb.pyc
/usr/lib/python2.7/site-packages/cocotb/drivers/opb.pyo
/usr/lib/python2.7/site-packages/cocotb/drivers/xgmii.py
/usr/lib/python2.7/site-packages/cocotb/drivers/xgmii.pyc
/usr/lib/python2.7/site-packages/cocotb/drivers/xgmii.pyo
/usr/lib/python2.7/site-packages/cocotb/generators
/usr/lib/python2.7/site-packages/cocotb/generators/__init__.py
/usr/lib/python2.7/site-packages/cocotb/generators/__init__.pyc
/usr/lib/python2.7/site-packages/cocotb/generators/__init__.pyo
/usr/lib/python2.7/site-packages/cocotb/generators/bit.py
/usr/lib/python2.7/site-packages/cocotb/generators/bit.pyc
/usr/lib/python2.7/site-packages/cocotb/generators/bit.pyo
/usr/lib/python2.7/site-packages/cocotb/generators/byte.py
/usr/lib/python2.7/site-packages/cocotb/generators/byte.pyc
/usr/lib/python2.7/site-packages/cocotb/generators/byte.pyo
/usr/lib/python2.7/site-packages/cocotb/generators/packet.py
/usr/lib/python2.7/site-packages/cocotb/generators/packet.pyc
/usr/lib/python2.7/site-packages/cocotb/generators/packet.pyo
/usr/lib/python2.7/site-packages/cocotb/handle.py
/usr/lib/python2.7/site-packages/cocotb/handle.pyc
/usr/lib/python2.7/site-packages/cocotb/handle.pyo
/usr/lib/python2.7/site-packages/cocotb/log.py
/usr/lib/python2.7/site-packages/cocotb/log.pyc
/usr/lib/python2.7/site-packages/cocotb/log.pyo
/usr/lib/python2.7/site-packages/cocotb/memdebug.py
/usr/lib/python2.7/site-packages/cocotb/memdebug.pyc
/usr/lib/python2.7/site-packages/cocotb/memdebug.pyo
/usr/lib/python2.7/site-packages/cocotb/monitors
/usr/lib/python2.7/site-packages/cocotb/monitors/__init__.py
/usr/lib/python2.7/site-packages/cocotb/monitors/__init__.pyc
/usr/lib/python2.7/site-packages/cocotb/monitors/__init__.pyo
/usr/lib/python2.7/site-packages/cocotb/monitors/avalon.py
/usr/lib/python2.7/site-packages/cocotb/monitors/avalon.pyc
/usr/lib/python2.7/site-packages/cocotb/monitors/avalon.pyo
/usr/lib/python2.7/site-packages/cocotb/monitors/xgmii.py
/usr/lib/python2.7/site-packages/cocotb/monitors/xgmii.pyc
/usr/lib/python2.7/site-packages/cocotb/monitors/xgmii.pyo
/usr/lib/python2.7/site-packages/cocotb/outcomes.py
/usr/lib/python2.7/site-packages/cocotb/outcomes.pyc
/usr/lib/python2.7/site-packages/cocotb/outcomes.pyo
/usr/lib/python2.7/site-packages/cocotb/regression.py
/usr/lib/python2.7/site-packages/cocotb/regression.pyc
/usr/lib/python2.7/site-packages/cocotb/regression.pyo
/usr/lib/python2.7/site-packages/cocotb/result.py
/usr/lib/python2.7/site-packages/cocotb/result.pyc
/usr/lib/python2.7/site-packages/cocotb/result.pyo
/usr/lib/python2.7/site-packages/cocotb/scheduler.py
/usr/lib/python2.7/site-packages/cocotb/scheduler.pyc
/usr/lib/python2.7/site-packages/cocotb/scheduler.pyo
/usr/lib/python2.7/site-packages/cocotb/scoreboard.py
/usr/lib/python2.7/site-packages/cocotb/scoreboard.pyc
/usr/lib/python2.7/site-packages/cocotb/scoreboard.pyo
/usr/lib/python2.7/site-packages/cocotb/share
/usr/lib/python2.7/site-packages/cocotb/share/include
/usr/lib/python2.7/site-packages/cocotb/share/include/cocotb_utils.h
/usr/lib/python2.7/site-packages/cocotb/share/include/embed.h
/usr/lib/python2.7/site-packages/cocotb/share/include/gpi.h
/usr/lib/python2.7/site-packages/cocotb/share/include/gpi_logging.h
/usr/lib/python2.7/site-packages/cocotb/share/include/sv_vpi_user.h
/usr/lib/python2.7/site-packages/cocotb/share/include/vhpi_user.h
/usr/lib/python2.7/site-packages/cocotb/share/include/vpi_user.h
/usr/lib/python2.7/site-packages/cocotb/share/include/vpi_user_ext.h
/usr/lib/python2.7/site-packages/cocotb/share/lib
/usr/lib/python2.7/site-packages/cocotb/share/lib/Makefile
/usr/lib/python2.7/site-packages/cocotb/share/lib/compat
/usr/lib/python2.7/site-packages/cocotb/share/lib/compat/python3_compat.h
/usr/lib/python2.7/site-packages/cocotb/share/lib/embed
/usr/lib/python2.7/site-packages/cocotb/share/lib/embed/Makefile
/usr/lib/python2.7/site-packages/cocotb/share/lib/embed/gpi_embed.c
/usr/lib/python2.7/site-packages/cocotb/share/lib/fli
/usr/lib/python2.7/site-packages/cocotb/share/lib/fli/FliCbHdl.cpp
/usr/lib/python2.7/site-packages/cocotb/share/lib/fli/FliImpl.cpp
/usr/lib/python2.7/site-packages/cocotb/share/lib/fli/FliImpl.h
/usr/lib/python2.7/site-packages/cocotb/share/lib/fli/FliObjHdl.cpp
/usr/lib/python2.7/site-packages/cocotb/share/lib/fli/Makefile
/usr/lib/python2.7/site-packages/cocotb/share/lib/fli/entrypoint.vhdl
/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi
/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi/GpiCbHdl.cpp
/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi/GpiCommon.cpp
/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi/Makefile
/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi/gpi_priv.h
/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi_log
/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi_log/Makefile
/usr/lib/python2.7/site-packages/cocotb/share/lib/gpi_log/gpi_logging.c
/usr/lib/python2.7/site-packages/cocotb/share/lib/simulator
/usr/lib/python2.7/site-packages/cocotb/share/lib/simulator/Makefile
/usr/lib/python2.7/site-packages/cocotb/share/lib/simulator/simulatormodule.c
/usr/lib/python2.7/site-packages/cocotb/share/lib/simulator/simulatormodule.h
/usr/lib/python2.7/site-packages/cocotb/share/lib/simulator/simulatormodule_python2.c
/usr/lib/python2.7/site-packages/cocotb/share/lib/simulator/simulatormodule_python3.c
/usr/lib/python2.7/site-packages/cocotb/share/lib/utils
/usr/lib/python2.7/site-packages/cocotb/share/lib/utils/Makefile
/usr/lib/python2.7/site-packages/cocotb/share/lib/utils/cocotb_utils.c
/usr/lib/python2.7/site-packages/cocotb/share/lib/verilator
/usr/lib/python2.7/site-packages/cocotb/share/lib/verilator/verilator.cpp
/usr/lib/python2.7/site-packages/cocotb/share/lib/vhpi
/usr/lib/python2.7/site-packages/cocotb/share/lib/vhpi/Makefile
/usr/lib/python2.7/site-packages/cocotb/share/lib/vhpi/VhpiCbHdl.cpp
/usr/lib/python2.7/site-packages/cocotb/share/lib/vhpi/VhpiImpl.cpp
/usr/lib/python2.7/site-packages/cocotb/share/lib/vhpi/VhpiImpl.h
/usr/lib/python2.7/site-packages/cocotb/share/lib/vpi
/usr/lib/python2.7/site-packages/cocotb/share/lib/vpi/Makefile
/usr/lib/python2.7/site-packages/cocotb/share/lib/vpi/VpiCbHdl.cpp
/usr/lib/python2.7/site-packages/cocotb/share/lib/vpi/VpiImpl.cpp
/usr/lib/python2.7/site-packages/cocotb/share/lib/vpi/VpiImpl.h
/usr/lib/python2.7/site-packages/cocotb/share/makefiles
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/Makefile.doc
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/Makefile.inc
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/Makefile.paths
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/Makefile.pylib
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/Makefile.pylib.Darwin
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/Makefile.pylib.Linux
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/Makefile.pylib.Msys
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/Makefile.rules
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/Makefile.sim
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators/Makefile.aldec
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators/Makefile.cvc
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators/Makefile.ghdl
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators/Makefile.icarus
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators/Makefile.ius
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators/Makefile.modelsim
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators/Makefile.nvc
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators/Makefile.questa
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators/Makefile.vcs
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators/Makefile.verilator
/usr/lib/python2.7/site-packages/cocotb/share/makefiles/simulators/Makefile.xcelium
/usr/lib/python2.7/site-packages/cocotb/triggers.py
/usr/lib/python2.7/site-packages/cocotb/triggers.pyc
/usr/lib/python2.7/site-packages/cocotb/triggers.pyo
/usr/lib/python2.7/site-packages/cocotb/utils.py
/usr/lib/python2.7/site-packages/cocotb/utils.pyc
/usr/lib/python2.7/site-packages/cocotb/utils.pyo
/usr/lib/python2.7/site-packages/cocotb/wavedrom.py
/usr/lib/python2.7/site-packages/cocotb/wavedrom.pyc
/usr/lib/python2.7/site-packages/cocotb/wavedrom.pyo
/usr/lib/python2.7/site-packages/cocotb/xunit_reporter.py
/usr/lib/python2.7/site-packages/cocotb/xunit_reporter.pyc
/usr/lib/python2.7/site-packages/cocotb/xunit_reporter.pyo
/usr/share/doc/python2-cocotb-1.3.1
/usr/share/doc/python2-cocotb-1.3.1/README.md
/usr/share/licenses/python2-cocotb-1.3.1
/usr/share/licenses/python2-cocotb-1.3.1/LICENSE


Generated by rpm2html 1.8.1

Fabrice Bellet, Sun Apr 21 05:03:03 2024