Index index by Group index by Distribution index by Vendor index by creation date index by Name Mirrors Help Search

uvw-doc-2.12.1-5.fc39 RPM for noarch

From Fedora 39 for aarch64 / u

Name: uvw-doc Distribution: Fedora Project
Version: 2.12.1 Vendor: Fedora Project
Release: 5.fc39 Build date: Sat Jul 22 19:27:15 2023
Group: Unspecified Build host: buildvm-x86-32.iad2.fedoraproject.org
Size: 7767487 Source RPM: uvw-2.12.1-5.fc39.src.rpm
Packager: Fedora Project
Url: https://github.com/skypjack/uvw
Summary: Header-only easy to use libuv C++ wrapper API documentation
uvw started as a header-only, event based, tiny and easy to use
wrapper for libuv written in modern C++.

The uvw-doc package contains API documentation in HTML format.

Provides

Requires

License

MIT

Changelog

* Sat Jul 22 2023 Fedora Release Engineering <releng@fedoraproject.org> - 2.12.1-5
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_39_Mass_Rebuild
* Mon Feb 20 2023 Petr Menšík <pemensik@redhat.com> - 2.12.1-4
  - Include cstdint header where appropriate
* Sat Jan 21 2023 Fedora Release Engineering <releng@fedoraproject.org> - 2.12.1-3
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_38_Mass_Rebuild
* Tue Aug 02 2022 Petr Menšík <pemensik@redhat.com> - 2.12.1-2
  - Remove pkg-config, remove CC-BY from licenses
* Tue Aug 02 2022 Petr Menšík <pemensik@redhat.com> - 2.12.1-1
  - Upgrade to 12.1 (#2113750)
* Sat Jul 23 2022 Fedora Release Engineering <releng@fedoraproject.org> - 2.11.0-4
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_37_Mass_Rebuild
* Sat Jan 22 2022 Fedora Release Engineering <releng@fedoraproject.org> - 2.11.0-3
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_36_Mass_Rebuild
* Wed Jan 19 2022 Petr Menšík <pemensik@redhat.com> - 2.11.0-2
  - Make not to documentation license
* Tue Jan 18 2022 Petr Menšík <pemensik@redhat.com> - 2.11.0-1
  - Update to 2.11.0
* Tue Jan 18 2022 Petr Menšík <pemensik@redhat.com> - 2.10.0-4
  - Do not require non-existing package
* Tue Jan 18 2022 Petr Menšík <pemensik@redhat.com> - 2.10.0-3
  - Upload sources
* Tue Jan 18 2022 Petr Menšík <pihhan@gmail.com> - 2.10.0-2
  - Add version to static provide
* Tue Jan 18 2022 Petr Menšík <pihhan@gmail.com> - 2.10.0-1
  - Incorporate comments from review
* Tue Jan 18 2022 Petr Menšík <pemensik@redhat.com> - 2.9.0-2
  - Ignore failing test, comment it out
* Tue Jan 18 2022 Petr Menšík <pemensik@redhat.com> - 2.9.0-1
  - Move bigger doc part into noarch package
* Tue Jan 18 2022 Petr Menšík <pemensik@redhat.com> - Packaging variables read or set by -1
  - Initial fedora package spec

Files

/usr/share/doc/uvw-2.12.1
/usr/share/doc/uvw-2.12.1/html
/usr/share/doc/uvw-2.12.1/html/annotated.html
/usr/share/doc/uvw-2.12.1/html/async_8h_source.html
/usr/share/doc/uvw-2.12.1/html/bc_s.png
/usr/share/doc/uvw-2.12.1/html/bc_sd.png
/usr/share/doc/uvw-2.12.1/html/check_8h_source.html
/usr/share/doc/uvw-2.12.1/html/classes.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1AsyncHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1AsyncHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1AsyncHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1AsyncHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1AsyncHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1AsyncHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1AsyncHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1AsyncHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Barrier-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Barrier.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Barrier__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Barrier__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Barrier__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Barrier__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Barrier__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Barrier__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1CheckHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1CheckHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1CheckHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1CheckHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1CheckHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1CheckHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1CheckHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1CheckHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Condition-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Condition.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Condition__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Condition__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Condition__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Condition__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Condition__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Condition__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Emitter-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Emitter.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Emitter__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Emitter__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Emitter__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FileReq-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FileReq.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FileReq__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FileReq__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FileReq__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FileReq__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FileReq__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FileReq__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Flags-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Flags.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsEventHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsEventHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsEventHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsEventHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsEventHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsEventHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsEventHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsEventHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsPollHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsPollHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsPollHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsPollHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsPollHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsPollHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsPollHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsPollHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsReq-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsReq.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsReq__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsReq__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsReq__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsReq__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsReq__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsReq__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsRequest-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsRequest.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsRequest__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsRequest__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsRequest__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsRequest__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsRequest__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1FsRequest__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetAddrInfoReq-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetAddrInfoReq.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetAddrInfoReq__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetAddrInfoReq__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetAddrInfoReq__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetAddrInfoReq__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetAddrInfoReq__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetAddrInfoReq__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetNameInfoReq-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetNameInfoReq.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetNameInfoReq__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetNameInfoReq__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetNameInfoReq__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetNameInfoReq__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetNameInfoReq__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1GetNameInfoReq__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Handle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Handle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Handle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Handle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Handle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Handle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Handle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Handle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1IdleHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1IdleHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1IdleHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1IdleHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1IdleHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1IdleHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1IdleHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1IdleHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Loop-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Loop.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Loop__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Loop__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Loop__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Loop__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Loop__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Loop__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Mutex-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Mutex.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Mutex__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Mutex__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Mutex__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Mutex__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Mutex__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Mutex__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Once-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Once.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Once__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Once__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Once__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Once__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Once__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Once__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PipeHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PipeHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PipeHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PipeHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PipeHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PipeHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PipeHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PipeHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PollHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PollHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PollHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PollHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PollHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PollHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PollHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PollHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PrepareHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PrepareHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PrepareHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PrepareHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PrepareHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PrepareHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PrepareHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1PrepareHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ProcessHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ProcessHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ProcessHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ProcessHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ProcessHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ProcessHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ProcessHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ProcessHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1RWLock-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1RWLock.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1RWLock__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1RWLock__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1RWLock__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1RWLock__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1RWLock__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1RWLock__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Request-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Request.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Request__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Request__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Request__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Request__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Request__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Request__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Resource-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Resource.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Resource__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Resource__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Resource__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Resource__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Resource__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Resource__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Semaphore-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Semaphore.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Semaphore__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Semaphore__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Semaphore__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Semaphore__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Semaphore__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Semaphore__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SharedLib-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SharedLib.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SharedLib__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SharedLib__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SharedLib__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SharedLib__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SharedLib__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SharedLib__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SignalHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SignalHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SignalHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SignalHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SignalHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SignalHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SignalHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1SignalHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1StreamHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1StreamHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1StreamHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1StreamHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1StreamHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1StreamHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1StreamHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1StreamHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TCPHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TCPHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TCPHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TCPHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TCPHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TCPHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TCPHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TCPHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TTYHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TTYHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TTYHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TTYHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TTYHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TTYHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TTYHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TTYHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Thread-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Thread.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ThreadLocalStorage-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ThreadLocalStorage.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ThreadLocalStorage__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ThreadLocalStorage__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ThreadLocalStorage__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ThreadLocalStorage__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ThreadLocalStorage__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1ThreadLocalStorage__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Thread__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Thread__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Thread__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Thread__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Thread__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1Thread__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TimerHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TimerHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TimerHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TimerHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TimerHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TimerHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TimerHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1TimerHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UDPHandle-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UDPHandle.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UDPHandle__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UDPHandle__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UDPHandle__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UDPHandle__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UDPHandle__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UDPHandle__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UnderlyingType-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UnderlyingType.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UnderlyingType__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UnderlyingType__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1UnderlyingType__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1WorkReq-members.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1WorkReq.html
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1WorkReq__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1WorkReq__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1WorkReq__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1WorkReq__inherit__graph.map
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1WorkReq__inherit__graph.md5
/usr/share/doc/uvw-2.12.1/html/classuvw_1_1WorkReq__inherit__graph.png
/usr/share/doc/uvw-2.12.1/html/closed.png
/usr/share/doc/uvw-2.12.1/html/config_8h_source.html
/usr/share/doc/uvw-2.12.1/html/dir_49e56c817e5e54854c35e136979f97ca.html
/usr/share/doc/uvw-2.12.1/html/dir_68267d1309a1af8e8297ef4c3efbcdba.html
/usr/share/doc/uvw-2.12.1/html/dir_98934c98f70735fe3a272005a9eb8736.html
/usr/share/doc/uvw-2.12.1/html/dir_98934c98f70735fe3a272005a9eb8736_dep.map
/usr/share/doc/uvw-2.12.1/html/dir_98934c98f70735fe3a272005a9eb8736_dep.md5
/usr/share/doc/uvw-2.12.1/html/dir_98934c98f70735fe3a272005a9eb8736_dep.png
/usr/share/doc/uvw-2.12.1/html/dns_8h_source.html
/usr/share/doc/uvw-2.12.1/html/doc.svg
/usr/share/doc/uvw-2.12.1/html/docd.svg
/usr/share/doc/uvw-2.12.1/html/doxygen.css
/usr/share/doc/uvw-2.12.1/html/doxygen.svg
/usr/share/doc/uvw-2.12.1/html/dynsections.js
/usr/share/doc/uvw-2.12.1/html/emitter_8h_source.html
/usr/share/doc/uvw-2.12.1/html/folderclosed.svg
/usr/share/doc/uvw-2.12.1/html/folderclosedd.svg
/usr/share/doc/uvw-2.12.1/html/folderopen.svg
/usr/share/doc/uvw-2.12.1/html/folderopend.svg
/usr/share/doc/uvw-2.12.1/html/fs_8h_source.html
/usr/share/doc/uvw-2.12.1/html/fs__event_8h_source.html
/usr/share/doc/uvw-2.12.1/html/fs__poll_8h_source.html
/usr/share/doc/uvw-2.12.1/html/functions.html
/usr/share/doc/uvw-2.12.1/html/functions_b.html
/usr/share/doc/uvw-2.12.1/html/functions_c.html
/usr/share/doc/uvw-2.12.1/html/functions_d.html
/usr/share/doc/uvw-2.12.1/html/functions_e.html
/usr/share/doc/uvw-2.12.1/html/functions_f.html
/usr/share/doc/uvw-2.12.1/html/functions_func.html
/usr/share/doc/uvw-2.12.1/html/functions_func_b.html
/usr/share/doc/uvw-2.12.1/html/functions_func_c.html
/usr/share/doc/uvw-2.12.1/html/functions_func_d.html
/usr/share/doc/uvw-2.12.1/html/functions_func_e.html
/usr/share/doc/uvw-2.12.1/html/functions_func_f.html
/usr/share/doc/uvw-2.12.1/html/functions_func_g.html
/usr/share/doc/uvw-2.12.1/html/functions_func_h.html
/usr/share/doc/uvw-2.12.1/html/functions_func_i.html
/usr/share/doc/uvw-2.12.1/html/functions_func_j.html
/usr/share/doc/uvw-2.12.1/html/functions_func_k.html
/usr/share/doc/uvw-2.12.1/html/functions_func_l.html
/usr/share/doc/uvw-2.12.1/html/functions_func_m.html
/usr/share/doc/uvw-2.12.1/html/functions_func_n.html
/usr/share/doc/uvw-2.12.1/html/functions_func_o.html
/usr/share/doc/uvw-2.12.1/html/functions_func_p.html
/usr/share/doc/uvw-2.12.1/html/functions_func_q.html
/usr/share/doc/uvw-2.12.1/html/functions_func_r.html
/usr/share/doc/uvw-2.12.1/html/functions_func_s.html
/usr/share/doc/uvw-2.12.1/html/functions_func_t.html
/usr/share/doc/uvw-2.12.1/html/functions_func_u.html
/usr/share/doc/uvw-2.12.1/html/functions_func_v.html
/usr/share/doc/uvw-2.12.1/html/functions_func_w.html
/usr/share/doc/uvw-2.12.1/html/functions_g.html
/usr/share/doc/uvw-2.12.1/html/functions_h.html
/usr/share/doc/uvw-2.12.1/html/functions_i.html
/usr/share/doc/uvw-2.12.1/html/functions_j.html
/usr/share/doc/uvw-2.12.1/html/functions_k.html
/usr/share/doc/uvw-2.12.1/html/functions_l.html
/usr/share/doc/uvw-2.12.1/html/functions_m.html
/usr/share/doc/uvw-2.12.1/html/functions_n.html
/usr/share/doc/uvw-2.12.1/html/functions_o.html
/usr/share/doc/uvw-2.12.1/html/functions_p.html
/usr/share/doc/uvw-2.12.1/html/functions_q.html
/usr/share/doc/uvw-2.12.1/html/functions_r.html
/usr/share/doc/uvw-2.12.1/html/functions_s.html
/usr/share/doc/uvw-2.12.1/html/functions_t.html
/usr/share/doc/uvw-2.12.1/html/functions_u.html
/usr/share/doc/uvw-2.12.1/html/functions_v.html
/usr/share/doc/uvw-2.12.1/html/functions_vars.html
/usr/share/doc/uvw-2.12.1/html/functions_w.html
/usr/share/doc/uvw-2.12.1/html/graph_legend.html
/usr/share/doc/uvw-2.12.1/html/graph_legend.md5
/usr/share/doc/uvw-2.12.1/html/graph_legend.png
/usr/share/doc/uvw-2.12.1/html/handle_8hpp_source.html
/usr/share/doc/uvw-2.12.1/html/hierarchy.html
/usr/share/doc/uvw-2.12.1/html/idle_8h_source.html
/usr/share/doc/uvw-2.12.1/html/index.html
/usr/share/doc/uvw-2.12.1/html/inherit_graph_0.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_0.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_0.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_1.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_1.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_1.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_10.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_10.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_10.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_100.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_100.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_100.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_101.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_101.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_101.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_102.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_102.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_102.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_103.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_103.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_103.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_104.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_104.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_104.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_105.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_105.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_105.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_106.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_106.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_106.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_107.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_107.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_107.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_108.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_108.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_108.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_109.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_109.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_109.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_11.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_11.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_11.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_12.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_12.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_12.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_13.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_13.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_13.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_14.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_14.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_14.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_15.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_15.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_15.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_16.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_16.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_16.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_17.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_17.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_17.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_18.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_18.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_18.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_19.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_19.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_19.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_2.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_2.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_2.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_20.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_20.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_20.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_21.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_21.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_21.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_22.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_22.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_22.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_23.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_23.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_23.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_24.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_24.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_24.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_25.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_25.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_25.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_26.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_26.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_26.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_27.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_27.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_27.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_28.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_28.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_28.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_29.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_29.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_29.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_3.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_3.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_3.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_30.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_30.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_30.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_31.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_31.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_31.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_32.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_32.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_32.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_33.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_33.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_33.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_34.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_34.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_34.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_35.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_35.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_35.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_36.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_36.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_36.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_37.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_37.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_37.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_38.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_38.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_38.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_39.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_39.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_39.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_4.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_4.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_4.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_40.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_40.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_40.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_41.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_41.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_41.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_42.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_42.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_42.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_43.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_43.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_43.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_44.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_44.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_44.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_45.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_45.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_45.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_46.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_46.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_46.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_47.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_47.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_47.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_48.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_48.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_48.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_49.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_49.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_49.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_5.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_5.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_5.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_50.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_50.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_50.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_51.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_51.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_51.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_52.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_52.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_52.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_53.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_53.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_53.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_54.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_54.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_54.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_55.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_55.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_55.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_56.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_56.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_56.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_57.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_57.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_57.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_58.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_58.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_58.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_59.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_59.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_59.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_6.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_6.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_6.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_60.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_60.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_60.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_61.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_61.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_61.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_62.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_62.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_62.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_63.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_63.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_63.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_64.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_64.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_64.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_65.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_65.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_65.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_66.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_66.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_66.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_67.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_67.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_67.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_68.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_68.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_68.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_69.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_69.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_69.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_7.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_7.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_7.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_70.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_70.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_70.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_71.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_71.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_71.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_72.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_72.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_72.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_73.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_73.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_73.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_74.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_74.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_74.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_75.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_75.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_75.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_76.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_76.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_76.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_77.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_77.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_77.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_78.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_78.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_78.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_79.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_79.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_79.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_8.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_8.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_8.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_80.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_80.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_80.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_81.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_81.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_81.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_82.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_82.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_82.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_83.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_83.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_83.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_84.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_84.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_84.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_85.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_85.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_85.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_86.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_86.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_86.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_87.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_87.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_87.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_88.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_88.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_88.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_89.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_89.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_89.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_9.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_9.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_9.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_90.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_90.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_90.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_91.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_91.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_91.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_92.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_92.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_92.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_93.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_93.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_93.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_94.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_94.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_94.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_95.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_95.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_95.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_96.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_96.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_96.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_97.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_97.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_97.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_98.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_98.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_98.png
/usr/share/doc/uvw-2.12.1/html/inherit_graph_99.map
/usr/share/doc/uvw-2.12.1/html/inherit_graph_99.md5
/usr/share/doc/uvw-2.12.1/html/inherit_graph_99.png
/usr/share/doc/uvw-2.12.1/html/inherits.html
/usr/share/doc/uvw-2.12.1/html/jquery.js
/usr/share/doc/uvw-2.12.1/html/lib_8h_source.html
/usr/share/doc/uvw-2.12.1/html/loop_8h_source.html
/usr/share/doc/uvw-2.12.1/html/menu.js
/usr/share/doc/uvw-2.12.1/html/menudata.js
/usr/share/doc/uvw-2.12.1/html/namespacemembers.html
/usr/share/doc/uvw-2.12.1/html/namespacemembers_func.html
/usr/share/doc/uvw-2.12.1/html/namespacemembers_type.html
/usr/share/doc/uvw-2.12.1/html/namespacemembers_vars.html
/usr/share/doc/uvw-2.12.1/html/namespaces.html
/usr/share/doc/uvw-2.12.1/html/namespaceuvw.html
/usr/share/doc/uvw-2.12.1/html/nav_f.png
/usr/share/doc/uvw-2.12.1/html/nav_fd.png
/usr/share/doc/uvw-2.12.1/html/nav_g.png
/usr/share/doc/uvw-2.12.1/html/nav_h.png
/usr/share/doc/uvw-2.12.1/html/nav_hd.png
/usr/share/doc/uvw-2.12.1/html/open.png
/usr/share/doc/uvw-2.12.1/html/pipe_8h_source.html
/usr/share/doc/uvw-2.12.1/html/poll_8h_source.html
/usr/share/doc/uvw-2.12.1/html/prepare_8h_source.html
/usr/share/doc/uvw-2.12.1/html/process_8h_source.html
/usr/share/doc/uvw-2.12.1/html/request_8hpp_source.html
/usr/share/doc/uvw-2.12.1/html/resource_8hpp_source.html
/usr/share/doc/uvw-2.12.1/html/search
/usr/share/doc/uvw-2.12.1/html/search/all_0.js
/usr/share/doc/uvw-2.12.1/html/search/all_1.js
/usr/share/doc/uvw-2.12.1/html/search/all_10.js
/usr/share/doc/uvw-2.12.1/html/search/all_11.js
/usr/share/doc/uvw-2.12.1/html/search/all_12.js
/usr/share/doc/uvw-2.12.1/html/search/all_13.js
/usr/share/doc/uvw-2.12.1/html/search/all_14.js
/usr/share/doc/uvw-2.12.1/html/search/all_15.js
/usr/share/doc/uvw-2.12.1/html/search/all_16.js
/usr/share/doc/uvw-2.12.1/html/search/all_2.js
/usr/share/doc/uvw-2.12.1/html/search/all_3.js
/usr/share/doc/uvw-2.12.1/html/search/all_4.js
/usr/share/doc/uvw-2.12.1/html/search/all_5.js
/usr/share/doc/uvw-2.12.1/html/search/all_6.js
/usr/share/doc/uvw-2.12.1/html/search/all_7.js
/usr/share/doc/uvw-2.12.1/html/search/all_8.js
/usr/share/doc/uvw-2.12.1/html/search/all_9.js
/usr/share/doc/uvw-2.12.1/html/search/all_a.js
/usr/share/doc/uvw-2.12.1/html/search/all_b.js
/usr/share/doc/uvw-2.12.1/html/search/all_c.js
/usr/share/doc/uvw-2.12.1/html/search/all_d.js
/usr/share/doc/uvw-2.12.1/html/search/all_e.js
/usr/share/doc/uvw-2.12.1/html/search/all_f.js
/usr/share/doc/uvw-2.12.1/html/search/classes_0.js
/usr/share/doc/uvw-2.12.1/html/search/classes_1.js
/usr/share/doc/uvw-2.12.1/html/search/classes_10.js
/usr/share/doc/uvw-2.12.1/html/search/classes_11.js
/usr/share/doc/uvw-2.12.1/html/search/classes_12.js
/usr/share/doc/uvw-2.12.1/html/search/classes_2.js
/usr/share/doc/uvw-2.12.1/html/search/classes_3.js
/usr/share/doc/uvw-2.12.1/html/search/classes_4.js
/usr/share/doc/uvw-2.12.1/html/search/classes_5.js
/usr/share/doc/uvw-2.12.1/html/search/classes_6.js
/usr/share/doc/uvw-2.12.1/html/search/classes_7.js
/usr/share/doc/uvw-2.12.1/html/search/classes_8.js
/usr/share/doc/uvw-2.12.1/html/search/classes_9.js
/usr/share/doc/uvw-2.12.1/html/search/classes_a.js
/usr/share/doc/uvw-2.12.1/html/search/classes_b.js
/usr/share/doc/uvw-2.12.1/html/search/classes_c.js
/usr/share/doc/uvw-2.12.1/html/search/classes_d.js
/usr/share/doc/uvw-2.12.1/html/search/classes_e.js
/usr/share/doc/uvw-2.12.1/html/search/classes_f.js
/usr/share/doc/uvw-2.12.1/html/search/close.svg
/usr/share/doc/uvw-2.12.1/html/search/functions_0.js
/usr/share/doc/uvw-2.12.1/html/search/functions_1.js
/usr/share/doc/uvw-2.12.1/html/search/functions_10.js
/usr/share/doc/uvw-2.12.1/html/search/functions_11.js
/usr/share/doc/uvw-2.12.1/html/search/functions_12.js
/usr/share/doc/uvw-2.12.1/html/search/functions_13.js
/usr/share/doc/uvw-2.12.1/html/search/functions_14.js
/usr/share/doc/uvw-2.12.1/html/search/functions_15.js
/usr/share/doc/uvw-2.12.1/html/search/functions_16.js
/usr/share/doc/uvw-2.12.1/html/search/functions_2.js
/usr/share/doc/uvw-2.12.1/html/search/functions_3.js
/usr/share/doc/uvw-2.12.1/html/search/functions_4.js
/usr/share/doc/uvw-2.12.1/html/search/functions_5.js
/usr/share/doc/uvw-2.12.1/html/search/functions_6.js
/usr/share/doc/uvw-2.12.1/html/search/functions_7.js
/usr/share/doc/uvw-2.12.1/html/search/functions_8.js
/usr/share/doc/uvw-2.12.1/html/search/functions_9.js
/usr/share/doc/uvw-2.12.1/html/search/functions_a.js
/usr/share/doc/uvw-2.12.1/html/search/functions_b.js
/usr/share/doc/uvw-2.12.1/html/search/functions_c.js
/usr/share/doc/uvw-2.12.1/html/search/functions_d.js
/usr/share/doc/uvw-2.12.1/html/search/functions_e.js
/usr/share/doc/uvw-2.12.1/html/search/functions_f.js
/usr/share/doc/uvw-2.12.1/html/search/mag.svg
/usr/share/doc/uvw-2.12.1/html/search/mag_d.svg
/usr/share/doc/uvw-2.12.1/html/search/mag_sel.svg
/usr/share/doc/uvw-2.12.1/html/search/mag_seld.svg
/usr/share/doc/uvw-2.12.1/html/search/namespaces_0.js
/usr/share/doc/uvw-2.12.1/html/search/pages_0.js
/usr/share/doc/uvw-2.12.1/html/search/search.css
/usr/share/doc/uvw-2.12.1/html/search/search.js
/usr/share/doc/uvw-2.12.1/html/search/searchdata.js
/usr/share/doc/uvw-2.12.1/html/search/typedefs_0.js
/usr/share/doc/uvw-2.12.1/html/search/typedefs_1.js
/usr/share/doc/uvw-2.12.1/html/search/typedefs_2.js
/usr/share/doc/uvw-2.12.1/html/search/typedefs_3.js
/usr/share/doc/uvw-2.12.1/html/search/typedefs_4.js
/usr/share/doc/uvw-2.12.1/html/search/typedefs_5.js
/usr/share/doc/uvw-2.12.1/html/search/typedefs_6.js
/usr/share/doc/uvw-2.12.1/html/search/typedefs_7.js
/usr/share/doc/uvw-2.12.1/html/search/typedefs_8.js
/usr/share/doc/uvw-2.12.1/html/search/variables_0.js
/usr/share/doc/uvw-2.12.1/html/search/variables_1.js
/usr/share/doc/uvw-2.12.1/html/search/variables_2.js
/usr/share/doc/uvw-2.12.1/html/search/variables_3.js
/usr/share/doc/uvw-2.12.1/html/search/variables_4.js
/usr/share/doc/uvw-2.12.1/html/search/variables_5.js
/usr/share/doc/uvw-2.12.1/html/search/variables_6.js
/usr/share/doc/uvw-2.12.1/html/search/variables_7.js
/usr/share/doc/uvw-2.12.1/html/search/variables_8.js
/usr/share/doc/uvw-2.12.1/html/search/variables_9.js
/usr/share/doc/uvw-2.12.1/html/search/variables_a.js
/usr/share/doc/uvw-2.12.1/html/search/variables_b.js
/usr/share/doc/uvw-2.12.1/html/search/variables_c.js
/usr/share/doc/uvw-2.12.1/html/search/variables_d.js
/usr/share/doc/uvw-2.12.1/html/signal_8h_source.html
/usr/share/doc/uvw-2.12.1/html/splitbar.png
/usr/share/doc/uvw-2.12.1/html/splitbard.png
/usr/share/doc/uvw-2.12.1/html/stream_8h_source.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1Addr-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1Addr.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1AddrInfoEvent-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1AddrInfoEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1AsyncEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1CPUInfo-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1CPUInfo.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1CheckEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1CloseEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1ConnectEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1DataEvent-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1DataEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1Emitter_1_1Connection-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1Emitter_1_1Connection.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1EndEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1ErrorEvent-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1ErrorEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1ExitEvent-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1ExitEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEventEvent-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEventEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEventEvent__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEventEvent__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEventEvent__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1FSTAT_01_4-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1FSTAT_01_4.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1LSTAT_01_4-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1LSTAT_01_4.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1MKSTEMP_01_4-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1MKSTEMP_01_4.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1READDIR_01_4-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1READDIR_01_4.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1READLINK_01_4-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1READLINK_01_4.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1READ_01_4-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1READ_01_4.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1SCANDIR_01_4-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1SCANDIR_01_4.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1SENDFILE_01_4-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1SENDFILE_01_4.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1STATFS_01_4-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1STATFS_01_4.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1STAT_01_4-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1STAT_01_4.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1WRITE_01_4-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsEvent_3_01details_1_1UVFsType_1_1WRITE_01_4.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsHelper-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsHelper.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsPollEvent-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1FsPollEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1IPv4.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1IPv6.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1IdleEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1InterfaceAddress-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1InterfaceAddress.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1InterfaceAddress__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1InterfaceAddress__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1InterfaceAddress__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1ListenEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1NameInfoEvent-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1NameInfoEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1Overloaded.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1Passwd-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1Passwd.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1PollEvent-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1PollEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1PollEvent__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1PollEvent__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1PollEvent__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1PrepareEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1SendEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1ShutdownEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1SignalEvent-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1SignalEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1TimerEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1UDPDataEvent-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1UDPDataEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1UDPDataEvent__coll__graph.map
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1UDPDataEvent__coll__graph.md5
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1UDPDataEvent__coll__graph.png
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1Utilities-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1Utilities.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1Utilities_1_1OS-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1Utilities_1_1OS.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1UtsName-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1UtsName.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1WinSize-members.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1WinSize.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1WorkEvent.html
/usr/share/doc/uvw-2.12.1/html/structuvw_1_1WriteEvent.html
/usr/share/doc/uvw-2.12.1/html/sync_off.png
/usr/share/doc/uvw-2.12.1/html/sync_on.png
/usr/share/doc/uvw-2.12.1/html/tab_a.png
/usr/share/doc/uvw-2.12.1/html/tab_ad.png
/usr/share/doc/uvw-2.12.1/html/tab_b.png
/usr/share/doc/uvw-2.12.1/html/tab_bd.png
/usr/share/doc/uvw-2.12.1/html/tab_h.png
/usr/share/doc/uvw-2.12.1/html/tab_hd.png
/usr/share/doc/uvw-2.12.1/html/tab_s.png
/usr/share/doc/uvw-2.12.1/html/tab_sd.png
/usr/share/doc/uvw-2.12.1/html/tabs.css
/usr/share/doc/uvw-2.12.1/html/tcp_8h_source.html
/usr/share/doc/uvw-2.12.1/html/thread_8h_source.html
/usr/share/doc/uvw-2.12.1/html/timer_8h_source.html
/usr/share/doc/uvw-2.12.1/html/tty_8h_source.html
/usr/share/doc/uvw-2.12.1/html/type__info_8hpp_source.html
/usr/share/doc/uvw-2.12.1/html/udp_8h_source.html
/usr/share/doc/uvw-2.12.1/html/underlying__type_8hpp_source.html
/usr/share/doc/uvw-2.12.1/html/util_8h_source.html
/usr/share/doc/uvw-2.12.1/html/uvw_8hpp_source.html
/usr/share/doc/uvw-2.12.1/html/work_8h_source.html
/usr/share/licenses/uvw-doc
/usr/share/licenses/uvw-doc/LICENSE


Generated by rpm2html 1.8.1

Fabrice Bellet, Wed Apr 10 02:20:47 2024